Problem: CW305, Using ChipWhisperer Lubuntu 32-bit 25AUG2015

Hello,
I Have a problem with my Artix7 CW305.
I am not able to download the bitstream on the FPGA.
I used the Virtual Machine as shown in the document attached and gave the messages attached.

I kindly ask you to check and help me in this issue just I need to implement a simple multiplier on FPGA using the
I/O to feed the FPGA by external input stimuli.

I also tried to implement the bitstream by Jtag using vivado but vivado did not detect the hardware CW305.

also i tried to install .exe chipwhisperer on windows but it gave usb failed.

I even tried with the linux and i verified the driver well on the etc/udev… and an Warning:
FPGA done failed to goes on check the bitstream if it is for the appropriate board.
normally working on xc7a100tftg256-2.

So finaly i need to dowload my design on the artix7.
Many Thanks,
Yehya
Report on Artix7 CW305 NewAE_Virtual machine_1.pdf (541 KB)

Hi yehya,

Could you follow these steps please (do not include the dollar sign when typing in the command :slight_smile: ):

  1. unplug the cw 305 from the computer
  2. run
$ dmesg 
  1. plug in the cw 305 into the computer
  2. run
$  dmesg 
  1. does the cw 305 show up on the dmesg log?

Cheers,
Franz

Hi Franz,

Many thanks for your reply.

these below the logmesg:

strange it is a new board and i am not able to download my bitstream on it :confused:

unpluged CW305:
="/usr/sbin/cupsd" pid=8066 comm=“serial” capability=21 capname=“sys_admin”
[ 160.762280] usb 1-1: USB disconnect, device number 2
[ 203.957090] usb 1-1: new high-speed USB device number 3 using ehci-pci
[ 204.308489] usb 1-1: New USB device found, idVendor=2b3e, idProduct=c305
[ 204.308505] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3
[ 204.308509] usb 1-1: Product: ChipWhisperer CW305
[ 204.308512] usb 1-1: Manufacturer: NewAE Technology Inc.
[ 204.308516] usb 1-1: SerialNumber: 4420312043304a383330313238313038
[ 260.419266] usb 1-1: USB disconnect, device number 3

pluged CW305:

usb 1-1: USB disconnect, device number 2
[ 203.957090] usb 1-1: new high-speed USB device number 3 using ehci-pci
[ 204.308489] usb 1-1: New USB device found, idVendor=2b3e, idProduct=c305
[ 204.308505] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3
[ 204.308509] usb 1-1: Product: ChipWhisperer CW305
[ 204.308512] usb 1-1: Manufacturer: NewAE Technology Inc.
[ 204.308516] usb 1-1: SerialNumber: 4420312043304a383330313238313038
[ 260.419266] usb 1-1: USB disconnect, device number 3
[ 349.098214] usb 1-1: new high-speed USB device number 4 using ehci-pci
[ 349.449677] usb 1-1: New USB device found, idVendor=2b3e, idProduct=c305
[ 349.449687] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3
[ 349.449690] usb 1-1: Product: ChipWhisperer CW305
[ 349.449693] usb 1-1: Manufacturer: NewAE Technology Inc.
[ 349.449695] usb 1-1: SerialNumber: 4420312043304a383330313238313038

Hi Franz,

in fact i am trying with the windows version of chipwhisperer capture v4.0.0

i am able to communicate with the board with usb and controlling forr example le supply voltage
but when i download the bit stream a message:
[‘ChipWhisperer CW305 (Artix-7)’, ‘FPGA Bitstream’, ‘Bitstream File’, u’D:/MY_DATA/Xilinx_projects/debug_Artix7/debug_Artix7.runs/impl_1/led_debug.bit’],
[‘ChipWhisperer CW305 (Artix-7)’, ‘FPGA Bitstream’, ‘Program FPGA’, None]

and therefore no thing cahnge on the leds trying to make thme on
attached the source file + the constraint fike.

vhdl:

– Company:
– Engineer:

– Create Date: 09.03.2018 13:04:04
– Design Name:
– Module Name: led_debug - Behavioral
– Project Name:
– Target Devices:
– Tool Versions:
– Description:

– Dependencies:

– Revision:
– Revision 0.01 - File Created
– Additional Comments:


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

– Uncomment the following library declaration if using
– arithmetic functions with Signed or Unsigned values
–use IEEE.NUMERIC_STD.ALL;

– Uncomment the following library declaration if instantiating
– any Xilinx leaf cells in this code.
–library UNISIM;
–use UNISIM.VComponents.all;

entity led_debug is
Port ( led0 : out STD_LOGIC;
led1 : out STD_LOGIC;
led2 : out STD_LOGIC);
end led_debug;

architecture Behavioral of led_debug is

signal s0: std_logic;
signal s1: std_logic;
signal s2: std_logic;

begin

s0 <=‘1’;
s1 <=‘1’;
s2 <=‘0’;

led0 <=s0;
led1 <=s1;
led2 <=s2;

end Behavioral;

xdc:

set_property IOSTANDARD LVCMOS33 [get_ports led0]
set_property IOSTANDARD LVCMOS33 [get_ports led1]
set_property IOSTANDARD LVCMOS33 [get_ports led2]

set_property DRIVE 8 [get_ports led0]
set_property DRIVE 8 [get_ports led1]
set_property DRIVE 8 [get_ports led2]

set_property PACKAGE_PIN T2 [get_ports led0]
set_property PACKAGE_PIN T3 [get_ports led1]
set_property PACKAGE_PIN T4 [get_ports led2]

Hi yehya,

Quick note on your previous vm issue related to the pass through the the vm. The issue may be related to permissions, try running as root. This is where I have seen these issues come up before.

[‘ChipWhisperer CW305 (Artix-7)’, ‘FPGA Bitstream’, ‘Program FPGA’, None] gets output when you press the Program FPGA button, this does not mean the FPGA is not programmed.

It also looks like you can now interact with the FPGA with the windows installer version for cw4.0, correct me if I am wrong. If the sample bit-stream given on the cw305 wiki page works then it is a problem with your code, which is quite hard for me to help you with over a forum, so you will have to debug it yourself (good learning experience). Or someone else on the forum may be able to help you.

Let me know if you need anything else (if it is unrelated it is best to start a new topic)

Cheers,
Franz

Hi Franz,

Thank you for your reply.

“It also looks like you can now interact with the FPGA with the windows installer version for cw4.0” Yes you are right!

the sample bit-stream given on the cw305 wiki page give this message:

WARNING - FPGA Config failed: DONE pin did not go high. Check bitstream is for target device.

Note: my code it is just to make the leds go on not more than that!!

Very Best Regards and Thanks
Yehya

Hi yehya,

I have a few things for you to check.

  1. Are you using the pre-built version of the bitstream included in the git repository (I am pretty sure you are but just want to double check)?

  2. Are you using the 35t or 100t bitstream? You need to use the correct one for your FPGA. You can just look at the physical chip and one of the alpha-numeric identifiers written on the chip either end with 35T or 100T. Just use the bitstream in the folder that matches your FPGA.

  3. Can you check the back of the cw305 and make sure the DIP switch (only multi configuration switch on the back side) has all of its switches in the on (1 or right side) position?

Just reply with the details and let me know if you get any further.

Cheers,
Franz

Hi Franz,

  1. Are you using the pre-built version of the bitstream included in the git repository (I am pretty sure you are but just want to double check)?

Yes

  1. Are you using the 35t or 100t bitstream? You need to use the correct one for your FPGA. You can just look at the physical chip and one of the alpha-numeric identifiers written on the chip either end with 35T or 100T. Just use the bitstream in the folder that matches your FPGA.

For sure Franz :wink:

  1. Can you check the back of the cw305 and make sure the DIP switch (only multi configuration switch on the back side) has all of its switches in the on (1 or right side) position?

Yes, in USB Mode :wink:

I am afraid that the board is damaged or something , may you explain the message :
“WARNING - FPGA Config failed: DONE pin did not go high. Check bitstream is for target device”

Very Best Regards,
Yehya,

Hi yehya,

Hmm… still trying to figure out what could have caused that. It’s possible the FPGA could be damaged (like any electrical device it has some static sensitivity), but would be good to rule out other possibilities too.

Can you measure (using the test points) the voltage on the VCCINT, VCCIO, and VCCAUX power supplies?

You have a JTAG programmer correct? You mentioned it wasn’t detected - did that work previously? Do you have the older Xilinx ISE tools available (in particular Xilinx Impact)? I find they sometimes work better with the USB tools in providing reasonable error messages. You can download just the “Lab Tools” at xilinx.com/support/download … -14_7.html - scroll down to “Lab Tools” which is a smaller installer than the full ISE. See if Impact detects the JTAG chain, and what error you get?

DONE pin did not go high

This is the generic error when configuration fails. It could be damaged, or could be something fixable causing the FPGA config to fail.

Thanks,

-Colin

Hi Colin,

Thanks for your reply,

VCCINT=0.994,82V
VCCIO=1.105,58V
VCCAUX =0.573,49 V

You have a JTAG programmer correct? Yes.
did that work previously? No.

The strange Colin that Yesterday on 29 March, 2018 When I am trying to put the voltmeter to meausre sudenly
the led4 is on and the led8 is on also. I tried to download my bitstream on the FPGA with the Chiswhisperer software via USB and the board it worked the leds led7, led5 and led6 are under my control with my vhdl code and the generated bitstream.

So it worked for one time yesterday and today when i tried to turn on then the same problem is appeared and I did the measuremnts as you asked.

I really want your help I put lot of time just to configure the FPGA.

Thanks,
Yehya, PhD Student.

Hi Colin,

In fact I pluged the regulators for the VCCIO and The VCCAUX and now i have correct voltage and I can configure the FPGA.

I really I do not understand how it worked for one time without the regulators and now it worked with the optional regualtors??!!

Thanks,
Yehya

Hello,

The on-board regulators should work without the linear ones plugged in - that is very odd! Everything is tested before shipping, including a final “example test” of loading an example bitstream & performing the AES capture. This means you shouldn’t have seen anything odd like that.

The power to the FPGA is under computer control - it’s possible to turn these power supplies off with messages from the computer, so it’s possible there was some problem there? Do things work now without the extra regulators plugged in?

Thanks,

-Colin

Hello colin:

Just i can control the vccint from capture but not the vccio and the vccaux.
No is not working without the optional regulators.
Working only with the plugged in optional regulators.
Thanks,
Yehya,