USB annd SPI issues

Dear ChipWhisperer Team,

I am currently working with the CW305 FPGA and facing an issue with SPI communication. Initially, I was using USB for communication, which I set up in the XDC file as follows: ""set_property PACKAGE_PIN F5 [get_ports usb_clk]

set_property IOSTANDARD LVCMOS33 [get_ports *]
set_property PACKAGE_PIN A7 [get_ports {usb_data[0]}]
set_property PACKAGE_PIN B6 [get_ports {usb_data[1]}]
set_property PACKAGE_PIN D3 [get_ports {usb_data[2]}]
set_property PACKAGE_PIN E3 [get_ports {usb_data[3]}]
set_property PACKAGE_PIN F3 [get_ports {usb_data[4]}]
set_property PACKAGE_PIN B5 [get_ports {usb_data[5]}]
set_property PACKAGE_PIN K1 [get_ports {usb_data[6]}]
set_property PACKAGE_PIN K2 [get_ports {usb_data[7]}]

set_property PACKAGE_PIN F4 [get_ports {usb_addr[0]}]
set_property PACKAGE_PIN G5 [get_ports {usb_addr[1]}]
set_property PACKAGE_PIN J1 [get_ports {usb_addr[2]}]
set_property PACKAGE_PIN H1 [get_ports {usb_addr[3]}]
set_property PACKAGE_PIN H2 [get_ports {usb_addr[4]}]
set_property PACKAGE_PIN G1 [get_ports {usb_addr[5]}]
set_property PACKAGE_PIN G2 [get_ports {usb_addr[6]}]
set_property PACKAGE_PIN F2 [get_ports {usb_addr[7]}]
set_property PACKAGE_PIN E1 [get_ports {usb_addr[8]}]
set_property PACKAGE_PIN E2 [get_ports {usb_addr[9]}]
set_property PACKAGE_PIN D1 [get_ports {usb_addr[10]}]
set_property PACKAGE_PIN C1 [get_ports {usb_addr[11]}]
set_property PACKAGE_PIN K3 [get_ports {usb_addr[12]}]
set_property PACKAGE_PIN L2 [get_ports {usb_addr[13]}]
set_property PACKAGE_PIN J3 [get_ports {usb_addr[14]}]
set_property PACKAGE_PIN B2 [get_ports {usb_addr[15]}]
set_property PACKAGE_PIN C7 [get_ports {usb_addr[16]}]
set_property PACKAGE_PIN C6 [get_ports {usb_addr[17]}]
set_property PACKAGE_PIN D6 [get_ports {usb_addr[18]}]
set_property PACKAGE_PIN C4 [get_ports {usb_addr[19]}]
set_property PACKAGE_PIN D5 [get_ports {usb_addr[20]}]

set_property PACKAGE_PIN A4 [get_ports usb_rdn]
set_property PACKAGE_PIN C2 [get_ports usb_wrn]
set_property PACKAGE_PIN A3 [get_ports usb_cen]
set_property PACKAGE_PIN D4 [get_ports usb_trigger]"
I now want to use SPI as well, and here is how I configured the SPI in the XDC file: set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets spi_clk_i_IBUF]
set_property -dict {PACKAGE_PIN A5 IOSTANDARD LVCMOS33} [get_ports spi_clk_i]
set_property -dict {PACKAGE_PIN B1 IOSTANDARD LVCMOS33} [get_ports spi_cs_i]
set_property -dict {PACKAGE_PIN A2 IOSTANDARD LVCMOS33} [get_ports spi_sdo0_o]
set_property -dict {PACKAGE_PIN B4 IOSTANDARD LVCMOS33} [get_ports spi_sdi0_i] Despite this, the SPI communication is still not working. I’m concerned that there might be conflicts or issues with the pins I assigned in the XDC file, as the SPI and USB are using similar resources. I would also like to know if it’s possible to communicate through both USB and SPI without interference, and if there’s anything I might have overlooked in my configuration.

This looks fine, but you’re only showing a small part of the whole picture here.
As usual, I recommend using ILAs to see see what’s happening on your SPI interface.